Verilog

Thảo luận trong 'VERILOG CĂN BẢN' bắt đầu bởi chiadoiconduong, 16 Tháng năm 2015.

Chia sẻ trang này

Lượt xem: 2,882

  1. chiadoiconduong

    By:chiadoiconduongin: 16 Tháng năm 2015
    New Member

    Tham gia ngày:
    16 Tháng năm 2015
    Bài viết:
    1
    Đã được thích:
    0
    Bạn có thể viết bài về các mô hình thiết kế verilog được không
    Luồng data
    Hành vi
    Cấu trúc
    RTL
    cám ơn bạn nhiều
     
  2. Lu ROm

    By:Lu ROmin: 16 Tháng năm 2015
    Administrator Staff Member

    Tham gia ngày:
    25 Tháng bảy 2014
    Bài viết:
    481
    Đã được thích:
    118
    ui..thi xong mình sẽ viết bạn...chắc có thể hết tuần sau.,hi
     
: Hỏi